圣源电子制作

 找回密码
 立即注册
查看: 30346|回复: 19

基于AT89C51的数字电子秤的设计-LCM4229-ADC0832-LCD240128-LM4229-程序-原理图-仿真

[复制链接]
发表于 2011-10-1 21:28:28 | 显示全部楼层 |阅读模式
转载自互联网!!!
作者:朱珍奇
1、设计目的
     单片机以其功能强,体积小,功耗低,易开发等很多优势被广泛应用。但单片机不是万能的,也存在不适合的场合,
1. 利用单片机对数字电子秤的各种功能进行控制。
2. 数字电子秤能够显示商品的名称,价格,总重,总价。
3. 数字电子秤能够自动完成商品的价格计算。
4. 数字电子秤具有储存几种简单商品价格的功能。   
5. 数字电子秤具有超重提醒功能,一旦重量超出了自身测量重量的范围,即发出警报。
6. 数字电子秤的测量范围要求达到5KG,测量精度要求达到0.001。

2、设计的主要内容和要求
     本文主要完成一个简单实用数字电子秤的硬件电路部分和软件部分的设计。在设计的过程学会使用单片机对数字电子秤的各种功能进行控制。本设计中的数字电子秤要求能够显示商品的名称、价格、总量、总价等;能够自动完成商品的价格计算;能够储存几种简单商品的价格;能够具有超重提醒功能,一旦重量超出了自身重量的测量的范围,发出警报;同时对数字电子秤的测量范围要达到5KG,测量精度要求达到0.001。
3、整体设计方案
3.1设计方案
整个数字电子秤电路由电源电路、单片机主控制电路、LM4229显示电路、蜂鸣器报警电路、4*4键盘电路和压力传感电路(ADC0832采样)6个部分组成。如图3.1所示。
3.2工作原理
     打开电源开关,数字电子秤开始工作。接通电源时,数字电子秤进入欢迎界面“欢迎使用电子秤设计······”。此时数字电子秤上MCU开始工作,键盘不断进行扫描,同时 通过ADC0832也不断进行外部称量数据采样,LCD上显示“实用电子秤 名称 单价······”。当载物台上放有物体时,ADC0832立即将数据收集送给单片机处理。此时工作人员只要输入对应商品的代码编号,在240*128的LCD上可以看到相应商品的名称,单价,总重,总价格等信息。在称量的过程中,一旦物体自身的重量超出电子秤的称量范围,蜂鸣器立即会发出“滴滴····”警报声告诉工作人员,所称量物品超重。

image001.jpg
图3.1 整体设计方框图

4、硬件电路的设计
数字电子秤采用AT89C51单片机作为微处理器,接口电路由晶振、LM4229显示电路、4*4按键电路、ADC0832电路、报警电路、存储器等组成。控制器系统的硬件电路原理图如图4.1所示。
控制器系统硬件电路的工作过程是:打开电源时,MCU及各个部分电路开始工作,MCU调用内部存储数据对各部分接口电路初始化。200ms后LM4229进入欢迎界面,ADC0832不断对外部数据进行采样交给MCU进行处理,一旦有物品放入载物台,ADC0832立即发送中断请求,并将本次采集数据交给MCU处理,之后LM4229显示相应数据量。在此过程中,键盘也在不断进行扫描,一旦有键按下,单片机也会对其数据进行相应处理,然后将对LM4229进行写操作。
image003.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image004.jpg
图4.1 数字电子秤硬件电路图
5、软件设计
本设计中的程序由主控制程序、LM4229液晶显示驱动程序、ADC0832采样程序和4*4键盘程序组成。

5.1主程序设计
      整个设计中采用由下向上的设计思路。主程序中主要完成对LCM4229、ADC0832、及键盘扫描程序的调用。在编写程序的过程中,各变量统一采用全局变量方式命名,同时考虑到电子秤对精度的要求,本设计中的价格及总量相关的变量全部采用浮点数。主程序流程图如图5.1所示。
image005.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image006.jpg

图5.1 主程序流程图file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image008.jpg

5.2 LM4229液晶显示驱动程序
image009.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image010.jpg
图5.2LM4229液晶显示驱动程序流程图
     LM4229液晶能够显示比较复杂的汉字和图形,首先必须对其写入控制操作字,包括图形的显示方式,字体的模式。然后写入初始行地址,指针自动左移,直到写完全部数据为止。
         write_data(place&0xff);   //写入地址高位
         write_data(place/256);    //写入地址低位
         write_com(0x24);          //地址设置
         write_com(0xb0);          //设置数据自动写
         write_data(ASC_MSK[(c1-0x20)*16+k]); /*---例如:0的ASCII码为0x30,
          在ASC_MSK中的位置为0x10*16 ---16字节字码依次写入LCD---*/
         write_com(0xb2);          //自动复位
          place=place+30;
5.3 ADC0832采样程序
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image012.jpg                image011.jpg

图5.3 ADC0832采样程序程序流程图
     MCU通过拉低CS、拉高CLK来启动ADC0832进行外部压力传感转换后的电压信号进行采样,每产生8个CLK脉冲,DATA获得一位完整的8bit数据,此时MCU发送中断请求,拉高CS,拉低CLK,并将数据DAT返回。
5.4 4*4键盘程序

image013.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image013.jpg
图5.4 4*4键盘程序
     本设计中采用了4*4矩阵式键盘,单片机定时进行查询。首先单片机发送行扫描代码,然后进行列扫描,当发现某一列出现了低电平时,即返回相应的键盘值。若没有发现则说明当前行没有键按下,行扫描右移一位,继续执行列扫描。
MCU根据相应的键值,即可确定被按下的键。
6、系统仿真
在protues的ISIS 7.4sp2软件环境下画出电路原理图,接下来就是将设计的程序在Keil C51 μVision3开发集成环境上编译成机器语言,进入Protues的ISIS,双击AT89C51,在"ProgramFile中"添加"main.hex"文件到AT89C51中,如图6.1所示。
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image015.jpg
             image014.jpg
图6.1 数字电子秤仿真设置图
该仿真验证的过程:首先按开始按file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image017.jpg,此时数字电子秤进入欢迎界面。LM4229
上显示"欢迎使用电子秤 设计·····"。如图6.2所示。
image018.jpg

图6.2 数字电子秤欢迎界面图

接下来调节压力传感模拟电路电压,将电压设为0.00表示此时载物台上没有物体。此时LM4229切换到称量画面。显示指示“实用电子秤名称······
单价:0.000元/千克 总重量:0.000千克 总价:00.000元”。如图6.3所示。
image020.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image021.jpg
图6.3 数字电子秤模拟空载图
    最后,上调压力传感电压表示已载有商品,同时按下“6”号键,表示选择6号商品“苹果”。此时LM4229上显示“名称:苹果 单价:6.800元/千克 总重量:3.496千克总价:“23.733元”(实际6.8*3.496=23.7758元)。达到基本要求。如图6.4所示。最大称量重量4.980KG,如图6.5所示。
image022.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image023.jpg
图6.4 数字电子秤模拟载物显示仿真图
image024.jpg
file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image025.jpg
图6.4数字电子秤模拟最大称量范围仿真图
7、使用说明
     
    在使用仿真的过程中,首先打开仿真电路图,并加载好“HEX”文件到单片机中。后将压力传感电压调制0.00,点击file:///C:/Users/FSY/AppData/Local/Temp/msohtml1/05/clip_image026.jpg按钮,开始仿真。(为了能够看到清晰的演示过程,请将电路的背景设为无。)整个电子秤设置了“0~15”个按键,其中的“0”键表示取消,其他键代表15种可供选择商品价格。(另本设计的仿真文件需要安装protues7.4以上版本才能打开。)
8、设计总结
      通过本次电子技能课程数字电子秤的设计,让我更加熟悉了单片机的整个设计流程。在设计过程中,我也意识到好的编程书写习惯对软件设计的重要性。刚刚开始由于自己变量大部分采用的是局部变量,导致程序仿真出错,给自己的修改创造不小的麻烦。经过一天的努力,统一采用全局变量终于实现最终的仿真结果和设计的全部任务和要求。当然本数字电子秤还有很多可以改进的地方,例如可以改进按键功能方便工作人员随时对各数据进行修改等等。由于设计时间有限,只能在以后的学习过程中做更进一步的改进。

程序源
  1. #include<reg51.h>

  2. #include<intrins.h>

  3. #include <absacc.h>

  4. #include <math.h>

  5. #include<lm4229.h>

  6. #define uchar unsigned char

  7. #define uint  unsigned int

  8. sbit ADCS =P3^5;

  9. sbit ADDI =P3^7;

  10. sbit ADDO =P3^7;

  11. sbit ADCLK =P3^6;

  12. uint x1,y1,z1=0,w1;

  13. uchar ad_data,k,n,m,e,num,s;                              //采样值存储

  14. uint temp1;

  15. sbit beep =P3^0;                                          

  16. char press_data;                                  //标度变换存储单元

  17. float  press;

  18. unsigned char ad_alarm,temp;                           //报警值存储单元

  19. unsigned char abc[5]={48,46,48,48,48};

  20. unsigned char price_all[6]={48,48,46,48,48,48};

  21. floatprice_unit[10]={5.5,2.8,3.6,4.5,5.8,6.8,7.9,8.0,9.5,0};       //商品初始单价

  22. uchar price_danjia[5]={48,46,48,48,48};

  23. float price;

  24. uint price_temp1,price_temp2;                             //商品总价      

  25. uchar Adc0832(unsigned char channel);                                   

  26. void alarm(void);

  27. void data_pro(void);

  28. void delay(uint k);

  29. void keyscan();

  30. void disp_init();

  31. void price_jisuan();

  32. /************

  33. 主函数

  34. ************/

  35. void main(void)

  36. {

  37.   delay(500);                       //系统延时500ms启动

  38.   //ad_data=0;                      //采样值存储单元初始化为0

  39.   lcd_init();                       //显示初始化

  40.   disp_init();                       //开始进入欢迎界面

  41.   delay(1000);                       //延时进入称量画面

  42.    clear_lcd(0,4,40);

  43.   clear_lcd(16,0,80);

  44.   clear_lcd(28,0,40);

  45.   clear_lcd(44,0,80);

  46.   clear_lcd(56,0,40);

  47.   clear_lcd(72,0,80);

  48.   clear_lcd(84,0,40);

  49.   clear_lcd(100,0,80);

  50.   clear_lcd(112,0,40);

  51.   write_lcd(0,8,"实用电子秤");              

  52.     while(1)

  53.     {

  54.        ad_data =Adc0832(0);    //采样值存储单元初始化为0

  55.        alarm();

  56.        data_pro(); //读取重量

  57.        keyscan(); //查询商品种类

  58.       write_lcd(40,0,"------------------------------");

  59.        write_lcd(56,0,"单  价:");

  60.        write_lcd(56,11,price_danjia);

  61.        write_lcd(56,20,"元/千克");

  62.        write_lcd(72,0,"总重量:");

  63.        write_lcd(72,11,abc);

  64.        write_lcd(72,20,"千克");

  65.        write_lcd(88,0,"总  价:");

  66.        price_jisuan();           //计算出价格

  67.        write_lcd(88,10,price_all);

  68.        write_lcd(88,20,"元");

  69.        write_lcd(112,0,"设计学生: 0612201*16 朱珍奇");

  70.     }

  71. }

  72. /************

  73. 读ADC0832函数

  74. ************/

  75. //采集并返回

  76. uchar Adc0832(unsigned char channel)     //AD转换,返回结果

  77. {

  78.      uchari=0;

  79.     uchar j;

  80.     uintdat=0;

  81.     ucharndat=0;

  82.    if(channel==0)channel=2;

  83.    if(channel==1)channel=3;

  84.     ADDI=1;

  85.     _nop_();

  86.     _nop_();

  87.     ADCS=0;//拉低CS端

  88.     _nop_();

  89.     _nop_();

  90.    ADCLK=1;//拉高CLK端

  91.     _nop_();

  92.     _nop_();

  93.    ADCLK=0;//拉低CLK端,形成下降沿1

  94.     _nop_();

  95.     _nop_();

  96.    ADCLK=1;//拉高CLK端

  97.    ADDI=channel&0x1;

  98.     _nop_();

  99.     _nop_();

  100.    ADCLK=0;//拉低CLK端,形成下降沿2

  101.     _nop_();

  102.     _nop_();

  103.    ADCLK=1;//拉高CLK端

  104.    ADDI=(channel>>1)&0x1;

  105.     _nop_();

  106.     _nop_();

  107.    ADCLK=0;//拉低CLK端,形成下降沿3

  108.     ADDI=1;//控制命令结束

  109.     _nop_();

  110.     _nop_();

  111.     dat=0;

  112.    for(i=0;i<8;i++)

  113.     {

  114.        dat|=ADDO;//收数据

  115.        ADCLK=1;

  116.        _nop_();

  117.        _nop_();

  118.        ADCLK=0;//形成一次时钟脉冲

  119.        _nop_();

  120.        _nop_();

  121.        dat<<=1;

  122.        if(i==7)dat|=ADDO;

  123.     }  

  124.    for(i=0;i<8;i++)

  125.     {

  126.         j=0;

  127.        j=j|ADDO;//收数据

  128.        ADCLK=1;

  129.        _nop_();

  130.        _nop_();

  131.         ADCLK=0;//形成一次时钟脉冲

  132.        _nop_();

  133.        _nop_();

  134.        j=j<<7;

  135.        ndat=ndat|j;

  136.        if(i<7)ndat>>=1;

  137.     }

  138.     ADCS=1;//拉搞CS端

  139.    ADCLK=0;//拉低CLK端

  140.     ADDO=1;//拉高数据端,回到初始状态

  141.    dat<<=8;

  142.    dat|=ndat;

  143.    return(dat);            //returnad k         

  144. }

  145. void data_pro(void)

  146. {

  147.      unsigned int;           

  148.       if(0<ad_data<256)                     

  149.         {               

  150.            int vary=ad_data;                                   

  151.             press=(0.019531*vary);         

  152.                                                          

  153.             temp1=(int)(press*1000);                    //放大1000倍,便于后面的计算

  154.             abc[0]=temp1/1000+48;                   //取压力值百位

  155.             abc[1]=46;

  156.             abc[2]=(temp1%1000)/100+48;             //取压力值十位

  157.             abc[3]=((temp1%1000)%100)/10+48;            //取压力值个位

  158.             abc[4]=((temp1%1000)%100)%10+48;            //取压力值十分位

  159.            }                     

  160. }

  161. /*****************报警子函数*******************/

  162. void alarm(void)

  163. {

  164.      if(ad_data>=256)                        

  165.           beep=0;          //则启动报警

  166.         

  167.       else

  168.            beep=1;        

  169. }

  170. void delay(uint k)

  171. {

  172.    uint i,j;

  173.   for(i=0;i<k;i++)

  174.    for(j=0;j<100;j++);

  175. }

  176. //开机欢迎界面

  177. void disp_init()

  178. {

  179.   write_lcd(0,8,"欢迎使用电子秤");

  180.   write_lcd(16,0,"------------------------------");

  181.   write_lcd(28,0,"设计学生:朱珍奇");

  182.   write_lcd(44,0,"------------------------------");

  183.   write_lcd(56,0,"班级学号:0612201*16");

  184.   write_lcd(72,0,"------------------------------");

  185.   write_lcd(84,0,"指导老师:龚伦峰");

  186.   write_lcd(100,0,"------------------------------");

  187.   write_lcd(112,0,"设计日期:2009年6月16日");

  188. }

  189. //键盘服务程序

  190. void keyscan()

  191. {

  192.             P1=0xfe;

  193.             temp=P1;

  194.             temp=temp&0xf0;

  195.             while(temp!=0xf0)

  196.                 {

  197.                     delay(5);

  198.                     temp=P1;

  199.                     temp=temp&0xf0;

  200.                     while(temp!=0xf0)

  201.                     {

  202.                         temp=P1;

  203.                     switch(temp)

  204.                         {                                                                        

  205.                             case0xee:num=1,price=price_unit[0], write_lcd(24,0,"名  称:   杏    仁");

  206.                                 break;

  207.                             case0xde:num=2,price=price_unit[1],write_lcd(24,0,"名  称:   李     子");

  208.                                 break;

  209.                             case0xbe:num=3,price=price_unit[2],write_lcd(24,0,"名  称:   草     莓");

  210.                                 break;

  211.                             case0x7e:num=4,price=price_unit[3],write_lcd(24,0,"名  称:   葡     萄");

  212.                                 break;

  213.                         }

  214.                     while(temp!=0xf0)

  215.                         {

  216.                             temp=P1;

  217.                             temp=temp&0xf0;

  218.                         }

  219.                     }

  220.                 }

  221.             P1=0xfd;

  222.             temp=P1;

  223.             temp=temp&0xf0;

  224.             while(temp!=0xf0)

  225.                 {

  226.                     delay(5);

  227.                     temp=P1;

  228.                     temp=temp&0xf0;

  229.                     while(temp!=0xf0)

  230.                     {

  231.                         temp=P1;

  232.                     switch(temp)

  233.                         {

  234.                             case0xed:num=5,price=price_unit[4],write_lcd(24,0,"名  称:   西     瓜");

  235.                                 break;

  236.                             case0xdd:num=6,price=price_unit[5],write_lcd(24,0,"名  称:   苹     果");

  237.                                 break;

  238.                             case0xbd:num=7,price=price_unit[6],write_lcd(24,0,"名  称:   雪     梨");

  239.                                 break;

  240.                             case0x7d:num=8,price=price_unit[7],write_lcd(24,0,"名  称:   核     桃");

  241.                                 break;

  242.                         }

  243.                     while(temp!=0xf0)

  244.                         {

  245.                             temp=P1;

  246.                             temp=temp&0xf0;

  247.                         }

  248.                     }

  249.                 }

  250.             P1=0xfb;

  251.             temp=P1;

  252.             temp=temp&0xf0;

  253.             while(temp!=0xf0)

  254.                 {

  255.                     delay(5);

  256.                     temp=P1;

  257.                     temp=temp&0xf0;

  258.                     while(temp!=0xf0)

  259.                     {

  260.                         temp=P1;

  261.                     switch(temp)

  262.                         {

  263.                             case0xeb:num=9,price=price_unit[8],write_lcd(24,0,"名  称:   香     蕉");

  264.                                 break;

  265.                             case0xdb:num=10,price=price_unit[9],write_lcd(24,0,"名  称:    商品代码");

  266.                                 break;

  267.                             case0xbb:num=11,price=price_unit[1];

  268.                                 break;

  269.                             case0x7b:num=12,price=price_unit[2];

  270.                                 break;

  271.                         }

  272.                     while(temp!=0xf0)

  273.                         {

  274.                             temp=P1;

  275.                             temp=temp&0xf0;

  276.                         }

  277.                     }

  278.                 }

  279.             P1=0xf7;

  280.             temp=P1;

  281.             temp=temp&0xf0;

  282.             while(temp!=0xf0)

  283.                 {

  284.                     delay(5);

  285.                     temp=P1;

  286.                     temp=temp&0xf0;

  287.                     while(temp!=0xf0)

  288.                     {

  289.                         temp=P1;

  290.                     switch(temp)

  291.                         {

  292.                             case0xe7:num=13,price=price_unit[3];

  293.                                 break;

  294.                             case0xd7:num=14,price=price_unit[4];

  295.                                 break;

  296.                             case0xb7:num=15,price=price_unit[5];

  297.                                 break;

  298.                             case0x77:num=16,price=price_unit[6];

  299.                                 break;

  300.                         }

  301.                     while(temp!=0xf0)

  302.                         {

  303.                             temp=P1;

  304.                             temp=temp&0xf0;

  305.                         }

  306.                     }

  307.                 }

  308.    price_temp1=(int)(price*1000);

  309.     price_danjia[0]=price_temp1/1000+48;                    //取单价个位

  310.     price_danjia[1]=46;

  311.     price_danjia[2]=(price_temp1%1000)/100+48;              //取单价十分位

  312.     price_danjia[3]=((price_temp1%1000)%100)/10+48;         //取单价百分位

  313.     price_danjia[4]=((price_temp1%1000)%100)%10+48;         //取单价千分位

  314. }

  315. void price_jisuan()

  316. {

  317.            price_temp2=(int)(price*press*1000);

  318.            price_all[0]=price_temp2/10000+48;

  319.             price_all[1]=(price_temp2/1000)%10+48;                  

  320.             price_all[2]=46;

  321.             price_all[3]=(price_temp2%1000)/100+48;            

  322.             price_all[4]=((price_temp2%1000)%100)/10+48;            

  323.             price_all[5]=((price_temp2%1000)%100)%10+48;        

  324. }
复制代码

回复

使用道具 举报

 楼主| 发表于 2011-10-1 21:41:05 | 显示全部楼层
C程序,HEX文件,仿真文件
syyyd.com仿真文件.zip (42.42 KB, 下载次数: 331)
回复 支持 反对

使用道具 举报

发表于 2012-4-19 14:01:43 | 显示全部楼层
想问下你用的压力传感器是哪种
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-4-19 21:40:20 | 显示全部楼层
智静 发表于 2012-4-19 14:01
想问下你用的压力传感器是哪种

用的应该是 5KG的称传感器-  但是 他设计的电路还有点问题,
回复 支持 反对

使用道具 举报

发表于 2012-4-19 22:28:18 | 显示全部楼层
sydz 发表于 2012-4-19 21:40
用的应该是 5KG的称传感器-  但是 他设计的电路还有点问题,

具体传感器叫什么名字啊
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-4-20 11:45:27 | 显示全部楼层
智静 发表于 2012-4-19 22:28
具体传感器叫什么名字啊

就叫 称传感器
回复 支持 反对

使用道具 举报

发表于 2012-4-21 18:28:20 | 显示全部楼层
请问下他这电路是哪有点问题?
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-4-21 22:31:01 | 显示全部楼层
阿Q 发表于 2012-4-21 18:28
请问下他这电路是哪有点问题?

实际的称 传感器 变化电压 只有 20MA左右  还需要放大电路的  
回复 支持 反对

使用道具 举报

发表于 2012-4-23 19:04:27 | 显示全部楼层
那这个放大器要用哪种啊

点评

可以用运算放大器OPAMP  发表于 2012-4-23 22:10
回复 支持 反对

使用道具 举报

发表于 2012-4-23 22:09:10 | 显示全部楼层
智静 发表于 2012-4-23 19:04
那这个放大器要用哪种啊

可以用运算放大器OPAMP
回复 支持 反对

使用道具 举报

发表于 2012-4-25 12:27:45 | 显示全部楼层
你好,版主,想借鉴下,可以发给我一下LM4229.h文件吗,806376563@qq.com,谢谢。
回复 支持 反对

使用道具 举报

发表于 2012-4-25 12:29:06 | 显示全部楼层
LM4229头文件借鉴下,谢谢
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-4-26 10:54:54 | 显示全部楼层
梅子泛泛 发表于 2012-4-25 12:27
你好,版主,想借鉴下,可以发给我一下LM4229.h文件吗,,谢谢。

认真点阅读文章, 所有资料都发布上来了
回复 支持 反对

使用道具 举报

发表于 2012-5-16 08:59:12 | 显示全部楼层
太感谢了   很好    我费了挺长时间把名字给改了。。。。。
回复 支持 反对

使用道具 举报

发表于 2012-10-29 19:24:30 | 显示全部楼层
白家三少 发表于 2012-5-16 08:59
太感谢了   很好    我费了挺长时间把名字给改了。。。。。

想问一下,改名字怎么改啊?
回复 支持 反对

使用道具 举报

发表于 2012-10-29 19:25:44 | 显示全部楼层
你好,版主想问一下电路放大部分怎么设计啊?
回复 支持 反对

使用道具 举报

发表于 2013-4-22 14:00:40 | 显示全部楼层
压力传感器不知道是哪一种,还要放大部分,求高手详细解答一下!
回复 支持 反对

使用道具 举报

发表于 2013-12-28 18:05:07 | 显示全部楼层
楼主,,,你qq号是好多?想请教你啊。。。
回复 支持 反对

使用道具 举报

发表于 2014-4-29 09:44:06 | 显示全部楼层
请问楼主:
    放大电路用哪种好?传感器我用广测的。
回复 支持 反对

使用道具 举报

发表于 2015-7-18 01:04:24 | 显示全部楼层
楼主,那个汉字对应的码,哪里找,,
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|联系我们|闽公网安备 35012102000020号|圣源电子 ( 闽ICP备11020110号 )

GMT+8, 2024-3-29 01:02 , Processed in 0.069345 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc.

快速回复 返回顶部 返回列表